CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 方波

搜索资源列表

  1. DrawWave

    0下载:
  2. 一个基于VC编写的波形显示控件的源程序.能显示正弦波,三角波和方波等-a waveform display prepared by the Control of the source. Can show that the sine, square and triangle wave, etc.
  3. 所属分类:对话框与窗口

    • 发布日期:2008-10-13
    • 文件大小:72754
    • 提供者:lidan
  1. DAconversion

    1下载:
  2. 这是一个由51单片机控制的数模转换器(DAC0832),可已输出正弦波,方波,阶梯波,三角波等模拟信号-This is a 51 MCU control of the Digital to Analog (DAC0832). sine wave output can be had, square, ladder wave, triangular wave signal simulation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3181
    • 提供者:kuangaj
  1. C8051_DA_test

    0下载:
  2. 摘 要: 本文件是C8051单片机DA测试实验程序;使用外部22.1184MHz晶振. 功能:定义 A ~ F 为功能键。 按\"A\" 键,输出250HZ的方波,按\"B\" 键,输出250HZ的正弦波形,按\"C\" 键,输出250HZ的三角波, 按\"D\" 键,输出250HZ的锯齿波。用示波器在J6(DAC0)观测结果,使用串口观测按键信息。-Abstract : This document is C8051 MCU DA laboratory procedures; us
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:61590
    • 提供者:Lance Yin
  1. dpjplj

    0下载:
  2. 本单片机设计的数字频率计 能够准确测量频率为1HZ-15MHZ,适用为方波,三角波及正弦波,可在人为的 用拨位开关在测量周期,频率及占空比之间转换,频率精度为1HZ,周期精度为 0.1微秒,占空比计时精度为0.1微秒。 -microcontroller design of the digital frequency meter can be accurately measured frequency 1HZ - 15MHZ. apply for the square, triangl
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:109383
    • 提供者:tang
  1. yuanmen_waveform

    0下载:
  2. vc++实现正弦波,三角波以及方波的显示-vc achieve sine, triangle and square wave display
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:54653
    • 提供者:远门
  1. DDS_Power

    0下载:
  2. FPGA上的VERILOG语言编程。通过查找表实现直接数字频率合成。在主控部分通过键盘选择正弦波,方波,三角波,斜波,以及四种波形的任意两种的叠加,以及四种波形的叠加;通过控制频率控制字C的大小,以控制输出波形频率,实现1Hz的微调;通过地址变换实现波形相位256级可调;通过DAC0832使波形幅值256级可调;通过FPGA内部RAM实现波形存储回放;并实现了每秒100HZ扫频。-FPGA on the verilog language programming. Lookup table thr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:16232
    • 提供者:田世坤
  1. ddsuart

    0下载:
  2. DDS(直接数字频率合成器)的整个工程文件,已在板子上运行通过,实现了正弦波、方波、三角波的调幅、调频,尚未实现调相,希望有志同道合者可一同完善!-DDS (direct digital frequency synthesis), the whole project documents, the board has been run through, achieved a sine, square, triangle wave amplitude, frequency, phase modula
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:16244030
    • 提供者:张菲
  1. mdiFFT

    0下载:
  2. FFT 频域变化并显示 方波 三角波 正弦 及随机序列 -FFT frequency domain shows changes sine square and triangular wave random sequence
  3. 所属分类:波变换

    • 发布日期:2008-10-13
    • 文件大小:88859
    • 提供者:姜小明
  1. w06

    0下载:
  2. 介绍一种基于单片机控制的多功能直流稳压电源的设计方案。该电源简单易用 ,精度高、成 本低 ,可以用作信号发生器 ,产生输出正弦波、方波、三角波、锯齿波等多种波形信号 通过软件升级很容 易实现功能扩展。-introduced a microcontroller-based control of the multi-purpose DC power supply design. The Power simple to use, high accuracy, low cost, can be
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:82187
    • 提供者:万红军
  1. musicplay51mcu

    0下载:
  2. 单片机用T1中断在IO口P1.0输出乐曲(在P1.0口与VCC间接一喇叭即可听到) // // 1.由于输出的是方波,比较难听:-)你也可以将波形细分用DA输出正弦波 // // 2.由于T1频繁中断,任何其他中断发生都会是乐曲打顿,只适合试验 // // 3.优化音符编码:一个字节中包含节拍、音符、升降调,可区分数据和命令-T1 chip Computer IO interruption in output P1.0 mouth music (P1.0 mouth with a l
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4384
    • 提供者:th
  1. sinewave

    0下载:
  2. 正弦波、方波、锯齿波信号发生器,DSPC5000系列实现,Emulator调试通过 附带正弦波、方波、锯齿波 播表制作matlab源程序
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:20370
    • 提供者:黄珣
  1. singlechipplaymusic

    0下载:
  2. 单片机用T1中断在IO口P1.0输出乐曲(在P1.0口与VCC间接一喇叭即可听到) 1.由于输出的是方波,比较难听:-)你也可以将波形细分用DA输出正弦波 2.由于T1频繁中断,任何其他中断发生都会是乐曲打顿,只适合试验 3.优化音符编码:一个字节中包含节拍、音符、升降调,可区分数据和命令
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:7479
    • 提供者:陈咚咚
  1. box

    0下载:
  2. 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1707806
    • 提供者:zhg
  1. mywaveform

    0下载:
  2. 波形发生器,可以产生方波、正弦波、三角波等波形,频率、幅值可调
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:12667
    • 提供者:何慧
  1. DDS9850

    1下载:
  2. DDS9850函数信号发生器 包括按键控制;方波,正弦波,三角波等多 种波形
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1924840
    • 提供者:coldx06
  1. zhengxuan

    0下载:
  2. MCS-51产生正弦波,三角波,方波的程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:344128
    • 提供者:刘浩然
  1. boxing

    2下载:
  2. 信号发生器由波形选择开关控制波形的输出, 分别能输出正弦波、方波和三角波三种波形, 波形的周期为2秒
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:994
    • 提供者:王军
  1. FunctionGenerator

    0下载:
  2. 本例程是在TMS320C5402上实现正弦波,三角波,方波发生器,程序简单易懂
  3. 所属分类:波变换

    • 发布日期:2008-10-13
    • 文件大小:7807
    • 提供者:陈红
  1. VerilogHDL_counter

    0下载:
  2. 采用Verilog HDL语言编写的数字频率计,被测波形分别为方波、三角波和正弦波;采用6个数码管显示结果,三档量程可调,工程价值很高,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:1252536
    • 提供者:廖耿耿
  1. source

    0下载:
  2. 此设计能输出0.1~50Hz的正弦波、三角波、方波且可以通过按键选择。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:13935
    • 提供者:石凡
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 45 »
搜珍网 www.dssz.com